Intel Quartus Prime Pro 23.3 x64

Intel Quartus Prime Pro提供灵活的设计方法、高级合成,并支持最新的Intel FPGA架构和分层设计流程。“编译器”提供强大且可定制的设计处理,以在硅中实现最佳的设计实现。支持简单的设计输入、快速的设计处理、直观的设备编程以及与其他行业标准EDA工具的集成。用户界面使您更容易专注于设计,而不是设计工具。模块化编译器简化了FPGA开发过程,确保以最少的努力获得最高的性能。Intel Quartus Prime软件包括Platform Designer系统集成工具。Platform Designer简化了定义自定义IP组件(IP核心)并将其集成到FPGA设计中的任务。Platform Designer会根据您指定的高级连接自动创建互连逻辑。互连自动化消除了指定系统级HDL连接的耗时任务。

功能特色

1、Hyper-Aware Design Flow使用Hyper Retiming和Fast Forward编译,在Intel®Agilex中达到最高性能™ 和Intel®Stratix®10设备。
2、Intel®Quartus®Prime Pro Edition合成集成了支持所有主要IEEE RTL语言的新的、更严格的语言解析器,以及增强的算法和并行合成功能。添加了对SystemVerilog 2009的支持。
3、分层项目结构保留每个设计实例的单独后期合成、后期放置以及后期放置和布线结果。允许在不影响其他分区放置或路由的情况下进行优化。
4、增量装配优化运行并逐步优化装配阶段。每个装配工阶段都会生成详细的报告。
5、interface Planner中更快、更准确的I/O布局规划接口I/O。
6、平台设计器基于平台设计器的系统设计和自定义IP集成功能。Intel®Quartus®Prime Pro Edition中的Platform Designer在系统互连和IP组件之间引入了分层隔离。
7、部分重新配置重新配置FPGA的一部分,而剩余的FPGA继续工作。
8、基于块的设计流在编译的各个阶段保留和重用设计块。

下载地址

本地下载

发表评论

邮箱地址不会被公开。 必填项已用*标注